+86 0755-83044319

Technical Blogs

/
/

Introduction to Semiconductor Materials

release time:2024-03-12Author source:SlkorBrowse:1279

Semiconductor materials are at the core of the semiconductor industry, serving as the foundation for manufacturing electronic and computer chips. There is a wide variety of semiconductor materials, each with unique characteristics and applications. This article will introduce commonly used semiconductor materials in the modern semiconductor industry.


1. Silicon (Si)

Silicon is the most common semiconductor material, known for its excellent stability, low cost, and mature processing technology. Silicon can be produced in various forms, such as single crystal silicon, polycrystalline silicon, and amorphous silicon, with single crystal silicon being the most widely used in the manufacturing of integrated circuits. The main drawback of silicon is its relatively poor conductivity, requiring the addition of other elements to enhance its electrical properties.


2. Gallium Nitride (GaN)

Gallium nitride is an emerging semiconductor material characterized by high electron mobility, high conductivity, and high thermal stability. GaN is used in the production of high-efficiency LEDs and high-power semiconductor devices. Additionally, it finds applications in aerospace, defense, communications, and other fields.


3. Silicon Carbide (SiC)

Silicon carbide is an emerging semiconductor material known for its high-temperature stability, high-frequency properties, and high voltage endurance. SiC is used in the production of high-power, high-frequency, and high-temperature semiconductor devices, such as power amplifiers, high-speed switches, and RF devices.


4. Gallium Phosphide (GaP)

Gallium phosphide is a commonly used semiconductor material characterized by high electrical conductivity and high photoconversion efficiency. GaP finds applications in the manufacturing of devices such as solar cells, photodetectors, optoelectronic switches, and LEDs.


5. Aluminum Oxide (Al2O3)

Aluminum Oxide (Al2O3) is a commonly used insulating material known for its high dielectric constant, high resistivity, and high heat resistance. Aluminum oxide can be used in the manufacturing of insulation layers in devices such as MOSFETs and DRMs.


6. Gallium Arsenide (GaAs)

Gallium arsenide is a semiconductor material characterized by high electron mobility and high speed. GaAs can be used in the production of high-speed electronic devices such as high-speed transistors, high-speed photodetectors, and high-speed logic circuits.


7. Silicon Nitride (Si3N4)

Silicon nitride is an insulating material known for its high dielectric constant and high heat resistance. Silicon nitride can be used in the manufacturing of insulation layers in devices such as MOSFETs and DRMs.


8. Industry Positioning

The integrated circuit industry chain includes crucial steps such as design, manufacturing, and packaging testing. Among them, semiconductor materials serve as key upstream raw materials in the integrated circuit sector and can be categorized into wafer manufacturing materials and packaging materials based on their applications.


9. Classification Overview

Semiconductor materials consist of wafer manufacturing materials and packaging materials. Wafer manufacturing materials include silicon wafers, masks, electronic gases, photoresists, CMP polishing materials, wet chemicals for electronics, target materials, etc. Packaging materials comprise packaging substrates, lead frames, bonding wires, encapsulation materials, ceramic substrates, chip bonding materials, and other packaging materials.


The overall dependence of China's domestic semiconductor industry on upstream equipment, materials, and integrated circuit products remains at a relatively high level, especially in the high-end wafer manufacturing materials. Currently, domestic enterprises have made breakthroughs in electronic gases, silicon wafers, wet chemicals for electronics, CMP polishing liquids, but progress has been relatively slow in high-end photoresists, CMP polishing pads, and other areas. The semiconductor materials industry is highly segmented, with significant technological differences leading to varying competitive landscapes within sub-industries. As a result, domestic enterprises face different market environments, competitors, and downstream customers.


二、Semiconductor Supply and Demand Status


1. Industrial Silicon

In terms of the supply of semiconductor raw materials, China is one of the world's largest suppliers of metallurgical silicon. With the continuous optimization of domestic capacity, global industrial silicon capacity has shown a gradually declining trend since 2019, reaching only 6.32 million tons in 2021. It is worth noting that despite the gradual optimization of capacity, the industrial silicon production has experienced significant growth due to the surge in demand for automotive chips.


2. Demand Side

The overall demand for semiconductors determines the scale of semiconductor materials, and as the global and Chinese semiconductor markets steadily expand, the demand for semiconductor materials continues to grow. Data shows that the global semiconductor market reached $555.9 billion in 2021, representing a 26.2% year-on-year increase over 2020. In China, integrated circuit sales exceeded one trillion yuan, reaching 1.04583 trillion yuan, an 18.2% increase compared to 2020.

Current Status of the Global Semiconductor Industry


1). Market Size

In terms of the current status of the global semiconductor materials market, the overall size of the market continues to expand as semiconductor demand increases. Data shows that the global semiconductor materials market reached 64.273 billion yuan in 2021, representing a 16% year-on-year increase over 2020.


2). Regional Structure

Regarding the regional distribution of global semiconductor materials, China (including Taiwan and mainland China) ranks second with a market share of 22.9% and 18.6% respectively. Although China's market share is currently the second highest, the overall products are still concentrated in the mid-to-low-end semiconductor materials. Development in high-end areas such as photoresists and CMP polishing pads has been relatively slow, leaving ample room for domestic alternatives.


3). Market Structure

In 2021, the overall sales of semiconductor materials reached $64.3 billion. Among them, materials used in the wafer front-end manufacturing process accounted for $40.4 billion (15-20% of manufacturing costs), and materials used in the back-end packaging and testing process accounted for $23.9 billion. Wafer manufacturing materials mainly include silicon wafers, photomasks, photoresists and auxiliary materials, CMP polishing materials, process chemicals, targets, electronic specialty gases, etc. In terms of wafer manufacturing, according to data, the market share of silicon wafers, which is the largest segment in the global wafer manufacturing materials market, was 37% in 2020.


a. Silicon Wafer

The semiconductor silicon wafer is a critical and fundamental raw material for integrated circuits and other semiconductor products. Currently, over 90% of semiconductor products worldwide are manufactured using silicon-based materials. Presently, the global semiconductor silicon wafer industry is mainly dominated by American and Japanese companies. However, with the shift in the industry chain, the domestic silicon wafer market in China is experiencing rapid growth. By 2022, the production capacity of wafer fabs in mainland China is expected to reach 4.1 million wafers per month, accounting for 17.15% of global capacity.


Currently, the total production capacity of 8-inch and 12-inch silicon wafers in China is only 1.16 million wafers per month, creating a significant gap in supply compared to demand. Due to the approximately 2-year manufacturing cycle for silicon wafer production lines and silicon wafer production lines, it is projected that it will be around 2022 before a supply-demand balance is reached. Currently, domestic companies such as Shanghai Sinyang Semiconductor Materials and Zhonghuan Semiconductor have achieved mass production of 12-inch silicon wafers with technology reaching global advanced levels.


Zhonghuan Semiconductor: The company's main business includes new energy materials, semiconductor materials, power, semiconductor equipment, etc. In 2018, the company's total operating income was 13.756 billion yuan, operating costs were 11.369 billion yuan, gross profit was 2.387 billion yuan, and the gross profit margin was 17.35%. The total revenue from semiconductor materials business was 1.013 billion yuan, with costs of 708 million yuan, resulting in a gross profit of 305 million yuan and a gross profit margin of 30.08%.


The company's overall operating income is on the rise, with a stable gross profit margin. Revenue growth has driven an increase in the company's net profit attributable to the parent company. Similarly, the net profit margin and return on equity (ROE) show an upward trend. From 2016 to 2018, the company's net profit attributable to the parent company was 402 million yuan, 585 million yuan, and 632 million yuan, respectively.


b. Photoresist

Photoresist, also known as "photo-induced etching agent," is one of the critical basic materials for integrated circuit manufacturing. "With the impact on the supply chain in Japan and South Korea, is it the right time for China to replace the photolithography resist leader?


Jingrui Corporation: The company's main business includes ultra-pure high-purity reagents, photoresists, functional materials, lithium battery materials, and basic chemical materials. In 2018, the company's revenue from ultra-pure high-purity reagents, photoresists, functional materials, lithium battery materials, and basic chemical materials accounted for 28%, 10%, 9%, 33%, and 14%, respectively. The company's ultra-pure high-purity reagent technology level ranks at the forefront of the industry, with electronic-grade hydrogen peroxide reaching the highest tier globally, establishing the company's technological barriers.


c. Electronic Specialty Gases

Electronic specialty gases refer to certain chemical gases used in the semiconductor production process, such as extension, ion implantation, doping, washing, and mask formation. In 2018, the global electronic specialty gas market reached $4.27 billion, a year-on-year growth of 10.3%. In 2015, China's electronic specialty gas market for integrated circuits had reached 3.28 billion yuan. By 2020, the domestic market for electronic specialty gases for integrated circuits will reach 8.1 billion yuan.

Nanda Optoelectronics: The company's main business includes the MO source product business, high-purity special electronic specialty gas business, photoresist and supporting product business, ALD precursor product business, etc. The company has entered the field of special gases (such as arsine and phosphine) by establishing its subsidiary, Quanjiao Nanda Optoelectronic Materials Co., Ltd. Arsenic and phosphine have been successfully mass-produced and supplied to multiple customers.


d. CMP (Chemical Mechanical Planarization)

CMP is a key technology in the manufacturing process of integrated circuits (IC), which involves the flattening of single crystal silicon wafers and metal wiring layers through chemical etching and mechanical force. In 2018, the global polishing materials market reached $21.7 billion, with a year-on-year growth of 17.3%. The market for polishing materials in China is $270 million, and currently, the global markets for polishing slurries and pads are still mainly monopolized by American and Japanese companies.


In terms of polishing pads, the domestic market still relies mainly on imports, with only Dinglong Corporation able to produce CMP polishing pad products in small batches. As for polishing slurries, Anji Microelectronics Technology has made a breakthrough in technology, achieving localization of polishing slurries. In 2018, Anji Microelectronics Technology achieved a 22% market share in the Chinese market, with a global market share of approximately 2%.


Anji Microelectronics Technology: The company's business includes CMP polishing slurry and photoresist removing agent, with the polishing slurry business accounting for 82.8% of the total revenue in 2018. Mainstream process products have achieved mass production, and polishing slurry products have been sold on a large scale from the 130-28nm range. Products for the 14nm technology node have entered the customer certification stage, and products for the 10-7nm range are under development. The company has successfully broken the monopoly of foreign manufacturers in the field of chemical mechanical polishing slurries for integrated circuits, achieved import substitution, and accumulated leading integrated circuit manufacturers in the industry, such as SMIC and TSMC.


In 2018, the company's gross profit margin was 51.1%, compared to the industry average of 46%. Profitability is mainly contributed by the polishing slurry, with the polishing slurry contributing a gross profit of 110 million yuan in 2018, accounting for 85.57%, while the photoresist removing agent contributed a gross profit of only 15 million yuan, accounting for 12.12%.

Dinglong Corporation: The company's main business includes printing and copying consumables, CMP polishing pads, functional chemicals, chips, basic chemicals, etc. In 2018, the company's total operating income was 1.337 billion yuan, operating costs were 817 million yuan, gross profit was 520 million yuan, and the gross profit margin was 38.89%. Revenue from printing and copying consumables and CMP polishing pads accounted for 99% and 0.24%, respectively. The total revenue from CMP polishing pads was 315 million yuan, with total costs of 264 million yuan, resulting in a gross profit of 510,000 yuan and a gross profit margin of 16.19%.


e. Photomask

Photomasks, also known as reticles or mask plates, are the master plates used in the photolithography process in microelectronics manufacturing. In terms of the industry chain, the photomask is the core mold in the production process of downstream electronic component manufacturers (flat panel displays, semiconductor chips, touchscreens, circuit boards, etc.), serving as a bridge and link. Photomasks are not only applied in the field of semiconductor chips but also widely used in the flat panel display industry.

According to SEMI data, the global semiconductor photomask market reached $4.51 billion in 2018, with a year-on-year growth of 15.9%; the market size is expected to surpass $5.5 billion by 2020. The photomask industry has a relatively high entry barrier, and major participants in the market are well-known domestic and foreign enterprises, resulting in high market concentration. In 2018, China's photomask market accounted for 40% of the global market, and by 2020, it is expected to reach 56% of the global market. In 2018, the domestic production of photomasks was 21,900 square meters, which means the domestic photomask localization rate is only about 20%, leaving substantial room for import substitution.


f. Sputtering Targets

Sputtering targets, see "Breaking the Monopoly of the United States and Japan, Continued Policy Enhancements, Is the Breakout of Semiconductor Core Materials Headed Our Way?"


g. Quartz Materials

Quartz glass, with its high purity, high-temperature resistance, low thermal expansion, and corrosion resistance, is widely used in industries such as optoelectronics, semiconductors, photovoltaics, optical communications, aerospace, and military technology. In 2019, the global market space for quartz materials was about $2.055 billion. According to IBISWorld statistics, the combined global market share of Heraeus, Shin-Etsu, and Tosoh exceeds 60%, virtually monopolizing the market.

In June 2018, Quartz Corporation announced that it had successfully developed high-quality quartz tube new products using the continuous melting method, with performance parameters meeting the requirements of downstream optical fiber customers, and had obtained the first batch of orders from domestic optical fiber manufacturers. It has the potential for import substitution.


Quartz Corporation: The company is an internationally renowned supplier of quartz materials. The series of quartz products produced for the semiconductor field have been officially certified by Tokyo Electron Limited (TEL). In 2018, TEL's market share of thin film equipment globally reached 88%, occupying an absolute leading position. The products have met the market access conditions to enter the international supply chain system of TEL, facilitating the expansion of the company's market cooperation space with TEL and its downstream users.


In 2018, the company's total revenue was 633 million yuan, operating costs were 356 million yuan, gross profit was 277 million yuan, and the gross profit margin was 43.74%. In terms of product structure, revenue growth mainly comes from the optical fiber semiconductor industry, with the proportion increasing from 19% in 2015 to 43% in 2018, while the proportion of traditional light source business has been declining annually, from 63% in 2015 to 42% in 2018.


h. Wet Chemicals

Wet electronic chemicals are mainly divided into buffered etchants, stripping solutions, etchants, developers for semiconductor use, developers for panel use, and polar solvents. They are applied in the fields of semiconductors, photovoltaic solar cells, LED, and flat-panel displays. In 2018, the overall market size of wet electronic chemicals was approximately $5.265 billion. By 2020, the overall market size is expected to reach $5.85 billion. China's output of wet electronic chemicals increased from 187,000 tons in 2012 to 495,000 tons in 2018, with an average annual compound growth rate of 17.61%.


Currently, domestic wet chemicals can meet the demands of the solar photovoltaic and panel industries, but the domestic localization rate of wet electronic chemicals for the semiconductor field remains relatively low. The domestic localization rate for wet electronic chemicals used in the processing of wafers of 6 inches and below is 80%, while for the market of wafers of 12 inches and above, the localization rate is only about 10%, resulting in an overall localization rate of about 20% for wet electronic chemicals used in semiconductor wafer fabrication.

Service hotline

+86 0755-83044319

Hall Effect Sensor

Get product information

WeChat

WeChat